0315-6985576

baoruitj@163.com

BAORUI TITANIUM EQUIPMENT

Semiconductor PVD equipment is leading to break the monopoly, and localization is expected to improve in the future

2020-02-23 18:44  Times of view:

Learn about the PVD process industry chain and equipment suppliers today, one of the semiconductor wafer manufacturing processes. This is why in 2019, China's microsystems (688012) rose from 65 yuan to 200 yuan, and Northern Huachuang (002371) rose from less than 40 yuan to 129 yuan. Thin film deposition is one of the key processes in wafer processing. Physical vapor deposition PVD and chemical vapor deposition chemical vapor deposition

During the physical vapor deposition process, no chemical reaction occurs, and only physical changes such as the phase change of the substance occur. For example, the vapor deposition process is a process in which a solid vapor deposition source is converted into a gaseous state, and then a solid film is formed on a target surface. The target product formed by other external reactants or chemical reaction with the substrate is deposited on the substrate. The above processes all use specific chemical vapor deposition equipment and PVD equipment.

Physical vapor deposition processes can generally be divided into three types: vacuum evaporation, sputtering coating, and ion plating. Mask) PVD equipment, copper interconnect PVD and aluminum pad) PVD

Zunhua Baorui Titanium Equipment

vacuum coating machine,pvd coating machine,pvd vacuum machine,vacuum ion coating machine,multi-arc ion coating machine

Hard mask processes can provide precise control over the shape of metal interconnects, which is critical in integrated circuit manufacturing. Low dielectric material titanium nitride is widely used as a hard mask material. Titanium nitride mask material deposition requires high PVD equipment. Its unique VHF technology achieves a good balance between neutral stress and film density and hardness, which increases product yield and produces high-density and low-stress films.

For domestic enterprises, Huachuang North (002371) 's import and export H430 tin metal hard mask PVD is China's first 12-inch metal hard mask equipment specially designed for processes below 40 nm. The system is mainly composed of an atmospheric platform, One vacuum transfer platform, two degassing chambers and two process chambers can realize the R & D and mass production of automated production equipment systems, achieving a breakthrough and technological leap in China's high-end integrated circuit PVD equipment. This machine has also become China's first 28nm standard back-end metal wiring hard mask processing machine, and has entered the international supply chain system. At the same time, it has passed SEMIS2 and F47 certifications for the semiconductor industry.

2. Copper interconnect (bear) PVD equipment: successfully broke the huge order space of the AMAT monopoly logic production line

Copper interconnects are a key process in silicon wafer manufacturing. Due to its low resistivity, metallic copper can effectively reduce the resistance of the interconnect. At the same time, electromigration life is two orders of magnitude longer than traditional aluminum interconnects, which can improve the reliability of the chip, and has been widely used. The Damascus process is commonly used in the manufacture of copper interconnects.

The deposition of the barrier layer and the copper seed layer is a key step in the Damascus process. The equipment required for the deposition process is the bear PVD

At present, copper interconnect PVD equipment accounts for about 70% of the entire PVD market size and is one of the most core equipment. AMAT technology leads the monopoly market, but the domestic manufacturer Beihuachuang (002371) made a major breakthrough and successfully broke the monopoly.

AMAT is a leader in copper interconnect equipment. Its Endura Bear RFX PVD system is a 32nm and 22nm logic and flash device that can be used in copper deposition processes. According to China International Tendering Network, as of December 2019, Changjiang Storage will purchase about 7 sets of copper interconnection equipment, all provided by AMAT. On January 10, 2020, the domestic manufacturer Beifang Huachuang (002371) successfully won orders for the three major storage facilities in the Yangtze River and successfully broke the monopoly. Became the second company to master copper interconnect photovoltaic equipment technology after AMAT

As SMIC and Hua Hong continue to expand production in the future, the potential order space for domestic bear equipment is huge

3, PVD aluminum pad: small proportion of production line, high degree of localization

The aluminum pad (aluminum pad) is usually deposited by a physical vapor method. The aluminum pad is the cross-section of the chip and the outside world, and it is also the last process of the integrated circuit. It usually uses a physical vapor deposition process to form an aluminum thin film layer on the surface of the top metal layer of the wafer, and then uses photolithography and etching processes to form external connection lines, which are used as lead terminals for testing electrical properties and packaging, thereby forming Aluminum pad

Aluminum pad PVD is mainly used for pad and aluminum interconnection processes, which places higher requirements on high yield, high efficiency, low cost and low defects of the equipment. Because the aluminum pad is prepared with only one layer of deposition in the last step of the wafer manufacturing process, the proportion in the production line is very small, but the localization rate of equipment such as internal asset lines is relatively high. The domestic manufacturer Beihuachuang (002371) 's PVD aluminum substrate has entered the 60-28nm production line, and the 14nm production line is accelerating verification.

Domestic demand space reaches more than 8.256 billion yuan

Wafer manufacturing equipment accounts for about 80% of semiconductor equipment investment, and film-forming equipment accounts for 20% of wafer equipment investment. In general, PVD equipment and chemical vapor deposition equipment each account for nearly 10%.

AMAT is the only PVD equipment company with a market share of more than 80%. However, domestic manufacturers are constantly making breakthroughs. According to statistics from Changjiang Storage, Wuxi, Huahong, and Warwick, the domestic rate of PVD equipment is estimated to be: AMAT accounts for about 81% of the internal asset line, and Beihuachuang (002371) is the main supplier of PVD equipment for the internal asset line About 10%. With the successful shipment of Winnie the PVD equipment to break the monopoly, the localization rate of PVD equipment is expected to further increase in the future.

It is a huge future. It is estimated that from now to the end of 2010, the market demand for such equipment from SMIC, Huahong, Hefei Changxin (Phase 1) and Changjiang Storage (Phase 1) production lines will exceed 4 billion yuan. Among them, the OEM production line of logic chips is an important driving force for the future bear. Its demand for the bear PVD is 4-5 times that of the same capacity memory production line. It is estimated that OEM production lines will require more than 40 bear bear PVD equipment to reach a production capacity of 100,000 pieces per month.

service hotline

0315-6985576

Wechat Service

Contact us
Top